Small fixes for synthesis
This commit is contained in:
parent
14dba00fd0
commit
24c6831813
@ -80,7 +80,7 @@ begin
|
|||||||
|
|
||||||
code_addr <= reg_q(14);
|
code_addr <= reg_q(14);
|
||||||
|
|
||||||
process(code_data, reg_q, mem_in, mem_busy, alu_q, alu_flag, cpu_state, load_addr, load_reg) is
|
process(code_data, reg_q, mem_in, mem_busy, alu_q, alu_flag, cpu_state, load_addr, load_reg, hold_inst) is
|
||||||
variable inst: std_logic_vector(15 downto 0);
|
variable inst: std_logic_vector(15 downto 0);
|
||||||
variable regn_0: natural;
|
variable regn_0: natural;
|
||||||
variable regn_1: natural;
|
variable regn_1: natural;
|
||||||
|
@ -232,7 +232,7 @@ begin
|
|||||||
end if;
|
end if;
|
||||||
end process;
|
end process;
|
||||||
|
|
||||||
process(bus_addr, bus_mosi, bus_write, mem_out, rst, rom_data_out, led_r, bus_read)
|
process(bus_addr, bus_mosi, bus_write, mem_out, rst, rom_data_out, led_r, bus_read, uart_dout)
|
||||||
begin
|
begin
|
||||||
|
|
||||||
bus_miso <= x"0000";
|
bus_miso <= x"0000";
|
||||||
|
@ -58,7 +58,10 @@ begin
|
|||||||
end if;
|
end if;
|
||||||
|
|
||||||
pend_next <= pend;
|
pend_next <= pend;
|
||||||
|
pend_addr_next <= pend_addr;
|
||||||
|
pend_wdata_next <= pend_wdata;
|
||||||
owner_next <= "00";
|
owner_next <= "00";
|
||||||
|
state_next <= IDLE;
|
||||||
|
|
||||||
bus_we <= '0';
|
bus_we <= '0';
|
||||||
bus_re <= '0';
|
bus_re <= '0';
|
||||||
|
Loading…
Reference in New Issue
Block a user