dsp: add uart1 at 31250 baud for midi support
This commit is contained in:
@@ -18,10 +18,13 @@ architecture rtl of dsp_test is
|
||||
|
||||
led: out std_logic_vector(7 downto 0);
|
||||
|
||||
uart_rx: in std_logic;
|
||||
uart_tx: out std_logic;
|
||||
uart0_rx: in std_logic;
|
||||
uart0_tx: out std_logic;
|
||||
|
||||
pdmout0_pin: out std_logic
|
||||
pdmout0_pin: out std_logic;
|
||||
|
||||
uart1_rx: in std_logic;
|
||||
uart1_tx: out std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
|
||||
Reference in New Issue
Block a user