dsp: add uart1 at 31250 baud for midi support

This commit is contained in:
Paul Mathieu
2021-07-26 00:00:26 -07:00
parent b01d6a4873
commit e7bab6e9e6
4 changed files with 55 additions and 25 deletions

View File

@@ -18,10 +18,13 @@ architecture rtl of dsp_test is
led: out std_logic_vector(7 downto 0);
uart_rx: in std_logic;
uart_tx: out std_logic;
uart0_rx: in std_logic;
uart0_tx: out std_logic;
pdmout0_pin: out std_logic
pdmout0_pin: out std_logic;
uart1_rx: in std_logic;
uart1_tx: out std_logic
);
end component;