Initial commit
This commit is contained in:
20
first/clock.vhdl
Normal file
20
first/clock.vhdl
Normal file
@@ -0,0 +1,20 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
|
||||
entity clock is
|
||||
port ( clk: out std_logic);
|
||||
end clock;
|
||||
|
||||
architecture behaviour of clock
|
||||
is
|
||||
constant clk_period : time := 10 ns;
|
||||
begin
|
||||
-- Clock process definition
|
||||
clk_process: process
|
||||
begin
|
||||
clk <= '0';
|
||||
wait for clk_period/2;
|
||||
clk <= '1';
|
||||
wait for clk_period/2;
|
||||
end process;
|
||||
end behaviour;
|
||||
Reference in New Issue
Block a user