synth/first/or.vhdl

16 lines
227 B
VHDL
Raw Normal View History

2021-02-17 21:20:30 +00:00
-- Simple OR gate design
library IEEE;
use IEEE.std_logic_1164.all;
entity or_gate is
port(
a: in std_logic;
b: in std_logic;
q: out std_logic);
end or_gate;
architecture rtl of or_gate is
begin
q <= a or b;
end rtl;